en el ZXUNO_TOP.VHD:
Código: Seleccionar todo
-- Audio
audio: entity work.Audio_DACs
port map (
clock_i => clock_master_s,
reset_i => reset_s,
audio_scc_i => audio_scc_s,
audio_psg_i => ear_i & audio_psg_s, -- jepalza, sumo el bit de EAR para escucharlo en la salida
beep_i => beep_s,
jt51_left_i => jt51_left_s,
jt51_right_i => jt51_right_s,
audio_mix_l_o => open,
audio_mix_r_o => open,
dacout_l_o => dac_l_o,
dacout_r_o => dac_r_o
);
Código: Seleccionar todo
entity Audio_DACs is
port (
clock_i : in std_logic;
reset_i : in std_logic;
audio_scc_i : in signed(14 downto 0);
audio_psg_i : in unsigned(8 downto 0); --jepalza, antes "7"
jt51_left_i : in signed(15 downto 0);
jt51_right_i : in signed(15 downto 0);
beep_i : in std_logic;
audio_mix_l_o : out std_logic_vector(15 downto 0);
audio_mix_r_o : out std_logic_vector(15 downto 0);
dacout_l_o : out std_logic;
dacout_r_o : out std_logic
);
end entity;
y ademas, casi abajo del todo....
Código: Seleccionar todo
beep_sig_s <= beep_vol_c when beep_i = '1' else (others => '0');
psg_sig_s <= "0" & signed(audio_psg_i) & "000000"; -- jepalza, antes "00" & signed....
scc_sig_s <= audio_scc_i(14) & audio_scc_i;
jt51_l_sig_s <= jt51_left_i;
jt51_r_sig_s <= jt51_right_i;