Página 1 de 22

New MSX1 core

Publicado: 31 Oct 2016, 15:49
por fbelavenuto
Hi guys,
(sorry for my bad english)

I made a MSX1 core, with a SCC Megaram from OCM. Specifications:

- MSX1 USA, 60Hz vertical frequency.
- 128K RAM Mapper.
- 128K Nextor (MSX-DOS2 evolution) ROM with SD driver.
- 256K of RAM to Megaram SCC/SCC+ from OCM project.
- Keyboard PT-BR layout (for a while).
- Simple switched I/O ports (no software yet).
- Multiple boards, incluing ZX-Uno.
- CVBS video only, no scandoubler yet.

The binaries are located here:

https://drive.google.com/drive/folders/ ... ms2YWJHWGc

Format a SD Card in FAT16 (4GB max) and unzip the 'msx1_sd_files.zip' file in SD card root.

The MSX 1 FPGA contains a IPL loader to clear the RAM and load the 'NEXTOR.ROM' SD file to RAM. The ROM is implemented in Xilinx BlockRAM.

CTRL+ALT+DEL is soft reset, CTRL+ALT+F12 is hard reset (run IPL again) and CTRL+ALT+BACKSPACE is ZX-Uno Standard, reload first core from SPI Flash.

The joystick port is mapped to JoyMega, configure the ZX-Uno hardware to SEGA Genesis joypad.

To load ROM in SCC Megaram, uses the ROMLOAD.COM (it is in SD files) with '/S' switch for starting:

ROMLOAD game.rom /S

The core is in beta stage yet, sources will be released soon.

Thanks.

Re: New MSX1 core

Publicado: 31 Oct 2016, 15:53
por Quest
Wow! Amazing :plasplas:

Thank you very much, Fabio!

Tested only 2 minutes.. but it seems to be working well :D

:gracias!:
:chocala!:

Re: New MSX1 core

Publicado: 31 Oct 2016, 16:35
por Radastan
Imagen

Re: New MSX1 core

Publicado: 31 Oct 2016, 16:45
por Haplo
Tooooma ya! Great news, Fabio! :maestro: :maestro:

Re: New MSX1 core

Publicado: 31 Oct 2016, 16:47
por Turrican
Lol!
A ZX-Spectrum emulating a MSX! :D
It´s a joke! :P

Great job, Fábio!!

Re: New MSX1 core

Publicado: 31 Oct 2016, 16:58
por Quest
Excellent job. Works like a charm!

Quick test loading Nemesis 2 from SD (FAT16) (SCC sound works well too :D):
2016-10-31 15.38.30.jpg
2016-10-31 16.51.02.jpg
2016-10-31 16.49.20.jpg
2016-10-31 16.49.42.jpg

Re: New MSX1 core

Publicado: 31 Oct 2016, 17:01
por gacaffe
Amazing! Can't wait to try it!!!

Re: New MSX1 core

Publicado: 31 Oct 2016, 17:45
por jevilon
Como llego a lo del NEXTOR para meter los comandos?
al arrancar me dice slot1: No identification
Puede ser por eso que no me funciona?
Que hago mal?

Re: New MSX1 core

Publicado: 31 Oct 2016, 17:50
por Quest
jevilon escribió:Como llego a lo del NEXTOR para meter los comandos?
al arrancar me dice slot1: No identification
Puede ser por eso que no me funciona?
Que hago mal?
Necesitas una SD de 4 gb o inferior formateada en FAT16 (esto es muy importante), no vale fat32, y descomprimir el fichero zip que comenta fabio en la raiz.

Re: New MSX1 core

Publicado: 31 Oct 2016, 17:56
por jevilon
Si, es una sd de 4 Gb formateada en fat16 (0x0E FAT16 LBA) pero no funciona....
Al arrancar parece cargar el nextor y todo, pero el error me lo da despues de que salga msx 1.0 tal tal,