New MSX1 core

mhacker
Mensajes: 1
Registrado: 06 Jun 2017, 16:23

Re: New MSX1 core

Mensaje por mhacker » 06 Jun 2017, 16:51

Fábio,

Seria difícil fazer (portar) um core de MC 1000 para o ZX UNO? (baseado no seu MSX/ZX-UNO e no MC-1000/DE-1 do Victor Trucco)

Att.

Marcelo Coelho Silva
Brasil

fbelavenuto
Mensajes: 100
Registrado: 08 Sep 2016, 13:10

Re: New MSX1 core

Mensaje por fbelavenuto » 08 Jun 2017, 16:06

Difícil não, trabalhoso! Eu por enquanto não tenho interesse em fazer isso, não prometo nada pro futuro.

[]'s
mhacker escribió:Fábio,

Seria difícil fazer (portar) um core de MC 1000 para o ZX UNO? (baseado no seu MSX/ZX-UNO e no MC-1000/DE-1 do Victor Trucco)

Att.

Marcelo Coelho Silva
Brasil

jonesypeter
Mensajes: 14
Registrado: 02 Abr 2016, 21:13

Re: New MSX1 core

Mensaje por jonesypeter » 09 Jul 2017, 16:10

Hello,

Can I ask about the config.txt?

10BN0
|||||
||||+-Turbo: 1=Initialize with turbo enabled
|||+--Color System: N=NTSC, P=PAL
||+---Keymap: E=English, B=Brazilian, F=Francese, S=Spanish
|+----Scandoubler(VGA): 1=Enabled
+-----Nextor: 1=Enabled, 0=Disabled


Say for example I want PAL and English. Do I just need to do I just need the following in the config file or could you show me an example?:

Color System: P
Keymap: E

Thank you

fbelavenuto
Mensajes: 100
Registrado: 08 Sep 2016, 13:10

Re: New MSX1 core

Mensaje por fbelavenuto » 10 Jul 2017, 17:37

10EP0
jonesypeter escribió:Hello,

Can I ask about the config.txt?

10BN0
|||||
||||+-Turbo: 1=Initialize with turbo enabled
|||+--Color System: N=NTSC, P=PAL
||+---Keymap: E=English, B=Brazilian, F=Francese, S=Spanish
|+----Scandoubler(VGA): 1=Enabled
+-----Nextor: 1=Enabled, 0=Disabled


Say for example I want PAL and English. Do I just need to do I just need the following in the config file or could you show me an example?:

Color System: P
Keymap: E

Thank you

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 17 Sep 2017, 09:14

Necesito un poco de ayuda ...

-¿hay alguna manera de escuchar el sonido de la cinta si se carga desde cinta externa, i.e BLOAD"CAS:" o RUN"CAS:" ?
No me acostumbro a la carga muda, si no me equivoco en la máquina real sí que se escucha.

-he visto que en lagunas conersiones de disco a cinta se han olvidado de poner el prefijo CAS: en el bload,
¿hay alguna manera de decirle al MSX que el dispositivo por defecto es el cassette para no tener que usar el prefijo CAS: ?

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 21 Sep 2017, 21:49

@fbelavenuto, no sound with msx core when tape (CAS:) loading ? :(
why?

ManuFerHi
Mensajes: 752
Registrado: 15 Nov 2015, 17:50

Re: New MSX1 core

Mensaje por ManuFerHi » 22 Sep 2017, 00:21

Funciona bien las cargas TSX en el core? todavía no lo he probado. Vendría bien que se escuchase el audio, es muy raro esperar la carga sin sentir nada.

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 22 Sep 2017, 05:15

Hola Manu,

la reproducción de .tsx funciona bien sobre este core, sacar el msx original me da bastante pereza. Lo que me han contado los que están trabajando sobre este formato es que están tratando de pulir y optimizar el código implementado para mejorar la velocidad.
En general yo lo que he visto es que con los .cas cargo más rápido.

finorris
Mensajes: 7
Registrado: 05 Feb 2016, 07:25

Re: New MSX1 core

Mensaje por finorris » 15 Oct 2017, 19:05

Alguien ha podido jugar al Livingstone supongo? Tengo problemas para jugar, no me deja seleccionar armas. Alguien más con estos problemas?
Saludos!

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 16 Oct 2017, 15:51

Hola a todos,

Llevo varios días intentando contactar con Fabio para que modifique el core para que se escuche la entrada de cinta mientras se carga (me imagino que anda muy liado con en NEXT). Al final he conseguido que me ayuden, siempre con el ánimo que a Fabio no le moleste y que otros usuarios lo puedan disfrutar como yo, me decido a publicarlo en el foro.

Repito, si hay alguno de vosotros que se pueda sentir perjudicado por haberlo publicado, pues lo retiro inmediatamente. :?:

Una vez confirmado este punto, agradeceré con cariño en el foro a este buen amigo Joseba Epalza la ayuda prestada :gracias!:
Adjuntos
COREX_AUDIO CINTA_MSX1.ZX1
(336 KiB) Descargado 385 veces

Responder