Presentación

Discursiones en general sobre el proyecto que no tienen cabida en otro foro / General discussion for uncateorized topics about the ZX-Uno project
Avatar de Usuario
Kyp
Mensajes: 240
Registrado: 18 May 2016, 20:16

Presentación

Mensaje por Kyp » 03 Sep 2016, 16:32

Hola.

Llevo ya un tiempo en el foro de oyente pero ha llegado el momento de dar la cara :roll:
Alguno me conoceréis de otros foros y de alguna que otra RetroParla ;)

Aunque estudié electrónica, sólo me he dedicado a ello cacharreando con el Spectrum (y alguna cosilla con el Amiga).

Descubrí el mundo de las FPGAs poco antes de que empezara el proyecto ZX-Uno y me compré una placa Papilio Pro para hacer mis experimentos. Un poco porque ya tenía la placa y porque me daba mucho respeto soldar los componentes SMD al final no me apunté a las pruebas de los prototipos y, aunque seguía de cerca el desarrollo, empecé a diseñar mi propio clon de Spectrum por mi cuenta usando la Papilio Pro.

Recientemente Antonio Villena me ofreció la posibilidad de portar mi clon al hardware del ZX-Uno y con mucho gusto he aceptado la oferta así que me pongo manos a la obra.

Avatar de Usuario
Mejias3D
Mensajes: 429
Registrado: 06 Oct 2015, 23:01

Re: Presentación

Mensaje por Mejias3D » 03 Sep 2016, 17:48

Hola Kyp!

:bienvenido:

Avatar de Usuario
carmeloco
Mensajes: 751
Registrado: 25 Dic 2015, 12:02

Re: Presentación

Mensaje por carmeloco » 03 Sep 2016, 17:51

Bienvenido al foro !!!!!

Avatar de Usuario
chernandezba
Mensajes: 841
Registrado: 02 Oct 2015, 23:35

Re: Presentación

Mensaje por chernandezba » 04 Sep 2016, 00:10

Bienvenido kyp!
Que tal van las pruebas que hacías con el interfaz ide? ;)
----

ZEsarUX
ZX Second-Emulator And Released for UniX
https://github.com/chernandezba/zesarux

Avatar de Usuario
jepalza
Mensajes: 613
Registrado: 02 Oct 2015, 18:52

Re: Presentación

Mensaje por jepalza » 04 Sep 2016, 08:44

Kyp escribió: Recientemente Antonio Villena me ofreció la posibilidad de portar mi clon al hardware del ZX-Uno y con mucho gusto he aceptado la oferta así que me pongo manos a la obra.
Lo primero, ¡Hola Kyp! yo ando yendo y viniendo, poco constante que es uno (será la edad, medio siglo menos uno)


Lo segundo: ¿portar un ZXUNO Clon a un ZXUNO real? Mi no entender. :x
¿te refieres al port que estabas haciendo del ZXUNO sobre la papilio pro, aquél que estuvimos hablando en vaderetro?
¿o lo hiciste algo diferente que lo destaca del ZXUNO?

Ya sabéis que si queréis ayudo, por que tengo ambos bichos.

Edito: ya sé lo que es nuevo, me he pasado por va-de-retro (no me acordaba ni de la clave :oops: ) y veo que lo recuperaste allá por junio para cambiar el teclado por uno mejorado.

Avatar de Usuario
Kyp
Mensajes: 240
Registrado: 18 May 2016, 20:16

Re: Presentación

Mensaje por Kyp » 04 Sep 2016, 10:47

Gracias a todos :)

@chernandezba, el interfaz ide se quedó a medias :( pero ahora con el hw del ZX-Uno podré terminarlo. Es lo primero de la lista :)

@jepalza, mi clon no es un port del ZX-Uno a la Papilio Pro. Tú has visto el código. Mi diseño es mucho más sencillo, sólo implementa un 48K con chip AY (de momento). La ULA no se parece en nada a la del ZX-Uno, ni siquiera genera una señal de vídeo PAL sino que usa una RAM de doble puerto para que no haya contienda con la CPU y genera una señal de vídeo VGA. LA estructura de la memoria también es muy diferente, el ZX-Uno usa la SRAM para todos los elementos de memoria (ROM y RAM) mientras que el ZXpp tiene tres módulos (ROM, LORAM y HIRAM) independientes que usan la BRAM de la FPGA. Lo único que comparten son los cores del Z80 y del AY que en ambos casos son cores de dominio público. Es más, todo mi clon está escrito en VHDL mientras que el del ZX-Uno está escrito en Verilog.

Evidentemente, he ojeado no solo el código del ZX-Uno sino también el de la Mist y otros muchos más ejemplos de código de otras fuentes, pero creo que mi clon es un diseño independiente. De echo mi punto de partida fue el curso que empezó a escribir Antonio en Retrolandia que estaba escrito para una Spartan 3 y como no me acababa de funcionar en la Spartan 6 de la Papilio Pro, poco a poco, aplicando lo que iba aprendiendo, acabé haciendo el ZXpp.

A ver, no me entiendas mal, todo este comentario te lo digo con una sonrisa :D y son ningún tipo de mosqueo, que así escrito igual lo parece. Pero no quiero que quede la impresión de que el ZXpp es un port del ZX-Uno a otro hardware (con la de tiempo y trabajo que me ha costado :cry: ).

Avatar de Usuario
jepalza
Mensajes: 613
Registrado: 02 Oct 2015, 18:52

Re: Presentación

Mensaje por jepalza » 04 Sep 2016, 11:19

Yo pensaba mas en el resultado final, que es un ZX alternativo al ZX, no pensaba en la diferencia de código o si está mejor o peor optimizado, por eso lo decía.

Es que me cuesta entender un clon de un clon. Es difícil hasta de explicar. El ZXUNO lleva su propia programación para convertirse en un Spectrum, el tuyo, la suya propia (con partes del ZXUNO), pero el resultado final es el mismo, dos Spectrum de funcionamiento idéntico, pero con diferentes funcionalidades, uno con salida vídeo, otro VGA, uno con una forma de leer teclado, etc.
Por eso se me hace raro hacer un port de tu port al ZXUNO, cuando el ZXUNO ya es un port.... vamos, de locos.

Es que entonces, tu idea principal de tener un clon del ZXUNO en el Papilio no cuadra. Lo tuyo sería "tu propio zx", y no un clon.

Por cierto, lo de la memoria de doble puerto ya la usó Mcleod_Ideafix en sus primeras versiones del port del ZX, que fueron las que yo porté a su vez sobre la primera FPGA que tuve, la del analizador de señales de Gadget Factory.

Avatar de Usuario
Kyp
Mensajes: 240
Registrado: 18 May 2016, 20:16

Re: Presentación

Mensaje por Kyp » 04 Sep 2016, 11:44

Creo que vamos a tener que dejar de usar la palabra 'clon' :D :D :D
Es que entonces, tu idea principal de tener un clon del ZXUNO en el Papilio no cuadra. Lo tuyo sería "tu propio zx", y no un clon.
Exactamente. Creo que en ningún momento he dicho que el ZXpp sea un clon del ZX-Uno. Lo que siempre he dicho es que el ZXpp es un clon del Spectrum.

Por un lado está el hardware (la placa en sí) del ZX-Uno, y la Papilio Pro.

Por otro lado el core (el archivo .BIT que se carga en la FPGA) del ZX-Uno y el Zxpp que, por cierto, ***NO*** tiene partes del ZX-Uno :P :P :P

Tanto en un hardware como en otro, adaptándolos eso sí, pueden funcionar los dos cores ya que la base, la FPGA, es la misma.
Por cierto, lo de la memoria de doble puerto ya la usó Mcleod_Ideafix en sus primeras versiones del port del ZX, que fueron las que yo porté a su vez sobre la primera FPGA que tuve, la del analizador de señales de Gadget Factory.
Sería lo más lógico, la RAM de doble puerto es un recurso muy común y casi imprescindible para compartir datos entre circuitos que funcionan a distinta velocidad. No es algo que haya inventado yo ni mucho menos.

Avatar de Usuario
jepalza
Mensajes: 613
Registrado: 02 Oct 2015, 18:52

Re: Presentación

Mensaje por jepalza » 04 Sep 2016, 13:09

Es que, como al principio empleaste partes de código de Mcleod_Ideafix, relaciono su port de ZX con el ZXUNO. Igual mezclo ideas sin tener que hacerlo. Yo también utilicé su código en mis ports, y el primer ZXUNO llevaba mucho de ese código inicial.

Pero bueno, queda claro que lo tuyo es diferente, no un "clón más"

Avatar de Usuario
Metsuke
Mensajes: 7
Registrado: 06 Sep 2016, 00:03
Ubicación: Madrid
Contactar:

Re: Presentación

Mensaje por Metsuke » 06 Sep 2016, 00:08

Buenas noches a todos!

Me presento mi nombre 1.0 es Raul aunque mi nick (y a veces nombre propio) es Metsuke

No había querido entrar aún dado que para mí es inviable montarme la máquina y espere a poder comprarlo montado, ahora que su llegada es inminente me animo a entrar para comenzar a estudiar la máquina más en serio y avanzar con el primer Speccy que estreno (o estrenare)

Un saludo a todos espero acabar siendo de ayuda aunque por ahora creo que traigo muchas más preguntas que respuestas :))

Raúl

Responder