Página 18 de 22

Re: New MSX1 core

Publicado: 21 Dic 2016, 13:18
por Sinclair
fbelavenuto escribió:Hum, very thanks, I'll add the file.
Thanks to you!!

I found a problem with the spanish keymap.
There is no way to get the character ")"
I have also detected that any keys do not match whith my spanish keboard, and the "Ñ" letter there is no way to write it either.

Re: New MSX1 core

Publicado: 05 Ene 2017, 00:07
por aivalahsotia
Congratulations Fabio!!! It works fine!! I tested a msx Basic game and the collision sprite seems correct!!! :chocala!:

Re: New MSX1 core

Publicado: 03 Abr 2017, 02:13
por Turrican
Wow! I didn´t know that this core is now working with VGA output.
Working great! :okidoki;

Re: New MSX1 core

Publicado: 07 Abr 2017, 00:50
por cdvazquez
Hi,

Thanks for your work... I have a problem with color by composite output (default) in PAL TV (v1.1).

I modified the configuration file selecting PAL but I can see the image, in color... but the color flashes continuously and quickly.

In NTSC, the image is B/N. I am using a Sony Trinitron 14''.

Any idea? Thanks,

Carlos

Re: New MSX1 core

Publicado: 09 Abr 2017, 19:34
por Konamito
bakoulis escribió:I think SofaRun is a good option, if you want to avoid the DOS commands.
Maybe you can included to the next version of your msx1_sd_files.zip file.
https://www.msx.org/news/software/en/so ... y-penguins
Last version is 2.5 and you can downloaded from here:
http://lhalter.free.fr/mgr/
:D
I love SofaRun, I already used on my MFR and OCM...

Re: New MSX1 core

Publicado: 21 Abr 2017, 22:10
por nicalejo
En el repositorio de fabio veo que hay dos versiones del core; corex.zx1 y (v3)corex.zx1. ¿cuál es la correcta para hacer funcionar este core? Lo digo porque la v3 tiene una fecha de modificacion más reciente

Re: New MSX1 core

Publicado: 21 Abr 2017, 23:04
por antoniovillena
v3 es el prototipo anterior al del crowd y es incompatible. Bájate la otra versión.
nicalejo escribió:En el repositorio de fabio veo que hay dos versiones del core; corex.zx1 y (v3)corex.zx1. ¿cuál es la correcta para hacer funcionar este core? Lo digo porque la v3 tiene una fecha de modificacion más reciente

Re: New MSX1 core

Publicado: 04 May 2017, 20:37
por Borxo
Buenas Tardes tengo una pregunta sobre el manejo del Core, introduzco el comando Basic para entrar en el modo de escribir el codigo. Entonces cuando creo un bucle y doy a RUN por ejemplo no puedo salir de el, en si tenia que dar en la tecla returns pero no la encuentro en el teclado para salir.

Re: New MSX1 core

Publicado: 04 May 2017, 21:00
por fbelavenuto
Hi,

In MSX BASIC, uses the MSX keys "CTRL+STOP" to stop running a program. STOP key is mapped to the END key of the PC.

I added more key mappings in README:

https://github.com/fbelavenuto/msx1fpga ... /README.md
Borxo escribió:Buenas Tardes tengo una pregunta sobre el manejo del Core, introduzco el comando Basic para entrar en el modo de escribir el codigo. Entonces cuando creo un bucle y doy a RUN por ejemplo no puedo salir de el, en si tenia que dar en la tecla returns pero no la encuentro en el teclado para salir.

Re: New MSX1 core

Publicado: 12 May 2017, 00:23
por Borxo
fbelavenuto escribió:Hi,

In MSX BASIC, uses the MSX keys "CTRL+STOP" to stop running a program. STOP key is mapped to the END key of the PC.

I added more key mappings in README:

https://github.com/fbelavenuto/msx1fpga ... /README.md
Borxo escribió:Buenas Tardes tengo una pregunta sobre el manejo del Core, introduzco el comando Basic para entrar en el modo de escribir el codigo. Entonces cuando creo un bucle y doy a RUN por ejemplo no puedo salir de el, en si tenia que dar en la tecla returns pero no la encuentro en el teclado para salir.
Gracias me soluciono la vida :maestro: :maestro: , funciono perfectamente y yo volviendome loco.