New MSX1 core

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 16 Oct 2017, 17:01

Los cambios de Joseba:

en el ZXUNO_TOP.VHD:

Código: Seleccionar todo

	-- Audio
	audio: entity work.Audio_DACs
	port map (
		clock_i			=> clock_master_s,
		reset_i			=> reset_s,
		audio_scc_i		=> audio_scc_s,
		audio_psg_i		=> ear_i & audio_psg_s, -- jepalza, sumo el bit de EAR para escucharlo en la salida
		beep_i			=> beep_s,
		jt51_left_i		=> jt51_left_s,
		jt51_right_i	=> jt51_right_s,
		audio_mix_l_o	=> open,
		audio_mix_r_o	=> open,
		dacout_l_o		=> dac_l_o,
		dacout_r_o		=> dac_r_o
	);
en el AUDIO_DAC.VHD

Código: Seleccionar todo

entity Audio_DACs is
	port (
		clock_i			: in  std_logic;
		reset_i			: in  std_logic;
		audio_scc_i		: in  signed(14 downto 0);
		audio_psg_i		: in  unsigned(8 downto 0); --jepalza, antes "7"
		jt51_left_i		: in  signed(15 downto 0);
		jt51_right_i	: in  signed(15 downto 0);
		beep_i			: in  std_logic;
		audio_mix_l_o	: out std_logic_vector(15 downto 0);
		audio_mix_r_o	: out std_logic_vector(15 downto 0);
		dacout_l_o		: out std_logic;
		dacout_r_o		: out std_logic
	);
end entity;

y ademas, casi abajo del todo....

Código: Seleccionar todo

	beep_sig_s		<= beep_vol_c when beep_i = '1'		else (others => '0');
	psg_sig_s		<= "0" & signed(audio_psg_i) & "000000"; -- jepalza, antes "00" & signed....
	scc_sig_s		<= audio_scc_i(14) & audio_scc_i;
	jt51_l_sig_s	<= jt51_left_i;
	jt51_r_sig_s	<= jt51_right_i;

Avatar de Usuario
desUBIKado
Mensajes: 1002
Registrado: 05 Ago 2016, 22:33

Re: New MSX1 core

Mensaje por desUBIKado » 05 May 2018, 10:16

rcmolina escribió:Hola a todos,

Llevo varios días intentando contactar con Fabio para que modifique el core para que se escuche la entrada de cinta mientras se carga (me imagino que anda muy liado con en NEXT). Al final he conseguido que me ayuden, siempre con el ánimo que a Fabio no le moleste y que otros usuarios lo puedan disfrutar como yo, me decido a publicarlo en el foro.

Repito, si hay alguno de vosotros que se pueda sentir perjudicado por haberlo publicado, pues lo retiro inmediatamente. :?:

Una vez confirmado este punto, agradeceré con cariño en el foro a este buen amigo Joseba Epalza la ayuda prestada :gracias!:
Hola rcmolina:

Estoy preparando el CHANGELOG de este core y no se ubicar muy bien esta versión que sintetizastes, así que te hago unas preguntas y alguna petición:

1. ¿Está basado en la versión 1.2, la última que publicó Fabio?

2. Fabio sintetizó 3 versiones de su core 1.2:

a. Versión para ZX-Uno 4.1 con 512 KB internas
b. Versión para ZX-Uno 4.1 con 2MB externas

(ambas aquí: https://drive.google.com/drive/folders/ ... ktaXzREY2c )

c. Versión para ZX-Uno 2M con 2MB internas

(aquí: https://drive.google.com/drive/folders/ ... GpiY3RwV28 )

3. ¿Para que configuración de memoria está sintetizado el core que has puesto?

4. Petición. ¿Podrías sintetizar el core en las otras 2 configuraciones de memoria que faltan?

Saludos.

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 05 May 2018, 10:40

@desUBIKado, yo no la sintetizé (sólo la publiqué con la autorizacion de Joseba Epalza para que se pudiera escuchar el audio mientras se hacía una carga en cinta. Previamente intercambié unos correos privados con Fabio.

No hay ningún cambio de funcionalidad, y que yo sepa sólo hay versión para 512K.

Un saludo,

Avatar de Usuario
desUBIKado
Mensajes: 1002
Registrado: 05 Ago 2016, 22:33

Re: New MSX1 core

Mensaje por desUBIKado » 05 May 2018, 10:54

@rcmolina graciaspor contestar tan rápido.

La verdad es que este magnífico core necesita un CHANGELOG ya. Todo lo publicado está muy disperso, incluso en distintos subforos.

Luego quiero poner también una guía de uso para cargar ficheros CAS, ROM, DSK, además de usar la grabación y carga de programas desde BASIC, tanto con la SD como por audio.

Avatar de Usuario
jepalza
Mensajes: 613
Registrado: 02 Oct 2015, 18:52

Re: New MSX1 core

Mensaje por jepalza » 05 May 2018, 13:52

Cierto, ese core lo modifiqué yo, solo para rcmolina, pero no guardé los fuentes, o no los encuentro entre el "maremagnum" de datos que tengo en el HD externo, donde guardo todo. Pero los cambios están publicados arriba, por lo tanto, el que quiera, solo es copiar/pegar y listo, volver a compilar.

Vanfanel
Mensajes: 276
Registrado: 16 Oct 2016, 15:50

Re: New MSX1 core

Mensaje por Vanfanel » 05 May 2018, 23:32

Chicos, ¿no notais que este core suena bajísimo en comparación con los demás? Para que se oiga bien la música de los juegos y tal, hay que subir mucho el volúmen del ampli al que tenga uno puesto el ZX-UNO, con lo que se mete todo el ruido electrónico del ZX-UNO, que es especialmente perfora-orejas cuando arranca o cuando lee algo de la SD. ¿No sería posible subírselo para que se oiga igual de alto que el core del Spectrum?

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 06 May 2018, 08:14

@Vanfanel, sí suena un poco más bajo y hay un chasquido inicial, pero para mí es perfectamente utilizable .. creo que lo comenté con Joseba en su momento. De todas maneras el objetivo de este core era poder utilizarlo en las pruebas de desarrollo de Maxduino cuando estuve ajustando la carga de velocidad de los cas y los tsx, no hay nada como poder escuchar la cinta mientras se reproduce para cazar los errores, y creo que ha cumplido/cumple perfectamente con el objetivo a la vista de los resultados :D .

Me imagino que Fabio está concentrado con otros proyectos, tampoco ha comentado públicamente si sólo ha abandonado temporalmente el desarrollo de este core (la menos yo lo desconozco) y tampoco si puede dar soporte a esta modificación. El único comentario que recibí es que no había entendido bien mi petición, y luego dio su aprobación.

Avatar de Usuario
desUBIKado
Mensajes: 1002
Registrado: 05 Ago 2016, 22:33

Re: New MSX1 core

Mensaje por desUBIKado » 06 May 2018, 09:55

Después de escribir el CHANGELOG he puesto esta nota:
NOTA IMPORTANTE 2018.05.06: Al descomprimir el fichero 'msx1_sd_files.zip' se crea la carpeta MSX1FPGA, y dentro de ella se encuentra el fichero SPA.KMP con la definición de las teclas para el teclado español. Esta definición contiene numerosos errores, por ejemplo, las comillas no están en su sitio o bien es imposible conseguir el paréntesis de cierre. Fabio ya ha conseguido corregir la mayoría de los errores, y el fichero SPA.KMP corregido se puede conseguir aquí. Mover esta versión al directorio MSX1FPGA. El único carácter de los más comunes que no está en su sitio es corchete de cierre "]" y se puede conseguir con SHIFT+ALT+7. En el mismo lugar se puede conseguir la definición del teclado francés corregido, por si fuese ese el que usaseis.

Vanfanel
Mensajes: 276
Registrado: 16 Oct 2016, 15:50

Re: New MSX1 core

Mensaje por Vanfanel » 06 May 2018, 12:04

@desUBIKado: Muchas gracias por el fichero de teclado actualizado :)

@rcmolina: Lo del sonido más bajo (y con ruidos de electrónica y demás) sólo pasa con el core que tiene el añadido de la cinta. He probado el core para ZX-UNO con 2MB que no lo tiene, y se oye perfecto. Así que tiene que ver con lo de la cinta, no con el sonido del core en sí, creo yo.

rcmolina
Mensajes: 109
Registrado: 22 Nov 2015, 16:41

Re: New MSX1 core

Mensaje por rcmolina » 07 May 2018, 15:40

@Vanfanel, es cierto y creo que Joseba y yo somos conscientes. Me imagino que te gustaría que se arreglara. Como te conentaba es un parche, bastante le debo a Joseba por la ayuda prestada. Hizo en su momento algún intento pero no pudimos mejorarlo. Quizás en el futuro, eso ya depende de los líos en los que anda metido el gran Joseba. Quizás otro mantenedor de cores pueda ayudar, los fuentes están ahí para intentarlo.

Responder