Se encontraron 100 coincidencias

por fbelavenuto
16 Nov 2016, 13:43
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Yes, I'll make a 50Hz core (just switch ROM and set VDP to 50 Hz).
Vanfanel escribió:@fabio: Any chances for a 50Hz mode? Some PAL games are too fast.
por fbelavenuto
16 Nov 2016, 13:34
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Source-code released:

https://github.com/fbelavenuto/msx1fpga

It's still in the beta stage!
por fbelavenuto
16 Nov 2016, 13:29
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Problem with ROM Mapper; ROMLOAD does not seem to recognize it! Buenas! Alguien ha podido ejecutar la versión de URIDIUM para msx? http://msx.ebsoft.fr/uridium/ A mi no me funciona y no termino de entender por qué... Si hay alguien que lo haya conseguido que me diga como :shock: En emulador funciona...
por fbelavenuto
16 Nov 2016, 13:28
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

I found the Nextor bug! Partitions with ID 0x0E are not recognized by Nextor, only ID 0x06. Use the Minitool Partition Wizard (Windows) to create FAT16 partition correctly. Al fin, he conseguido hacerlo funcionar.... con una tarjeta sd generica de 8Gb de 3 miseros euros (formateada en fat16 a 4Gb), ...
por fbelavenuto
16 Nov 2016, 13:25
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Gacaffe, use the "Windows" key, it simulates the space key. Hola, Ya lo he probado por fin. Mola. Tengo problemas con el teclado. Por ejemplo, con Nemesis, la frecuencia de los disparos disminuye si pulso varias teclas a la vez. Incluso a veces, ni siquiera dispara. Con varias teclas a la vez, me re...
por fbelavenuto
16 Nov 2016, 13:14
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Antonio, thanks for the tip.
antoniovillena escribió:Fabio, I have a multi-platform compilation system in my personal repository:

https://github.com/antoniovillena/zxuno ... s/Spectrum

It's batch process based on make.bat. Use if it's useful for you. Also there is a .ucf file for v3
por fbelavenuto
07 Nov 2016, 19:54
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

The 16K VRAM are implemented with BlockRAM (FPGA RAM internal). Firs post you write: - MSX1 USA, 60Hz vertical frequency. - 128K RAM Mapper. - 128K Nextor (MSX-DOS2 evolution) ROM with SD driver. - 256K of RAM to Megaram SCC/SCC+ from OCM project. 128ram mapper + 128 nextor +256k for megaram is 512,...
por fbelavenuto
07 Nov 2016, 12:56
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Added "(V3)COREX.ZX1" file in Google Drive, please test.
neuro_999 escribió:Thanx.
Is for my first zxuno who lives inside a spectrum+ case.

Enviado desde mi ONE A2003 mediante Tapatalk
por fbelavenuto
07 Nov 2016, 11:40
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

The core will be open-source! I'll try to synthesize with v3 UCF. Any chance to syntetize for the zx-uno v3, the hw is the same, but change the .ucf mapping. Normaly i change the .ucf and resintetice, but this core is not opensource. It will be sourcecode-public in the future? Thanx for your work. E...
por fbelavenuto
07 Nov 2016, 11:22
Foro: MSX1
Tema: New MSX1 core
Respuestas: 219
Vistas: 159165

Re: New MSX1 core

Its core is not based in OCM, only the Megaram-SCC is.

Antxiko escribió:Hi Fabio!

If the core is based in OCM, it could be possible to add a cartdrige slot using the UNO IO pins?

Thanks in advance!